Követés
Lizy Kurian John
Lizy Kurian John
Truchard Foundation Chair and Professor at UT Austin ECE
E-mail megerősítve itt: ece.utexas.edu - Kezdőlap
Cím
Hivatkozott rá
Hivatkozott rá
Év
Scaling to the end of silicon with EDGE architectures
D Burger, SW Keckler, KS McKinley, M Dahlin, LK John, C Lin, CR Moore, ...
Computer 37 (7), 44-55, 2004
5432004
Digital systems design using VHDL
CH Roth
Wadsworth Publ. Co., 1998
3791998
Run-time modeling and estimation of operating system power consumption
T Li, LK John
Proceedings of the 2003 ACM SIGMETRICS international conference on …, 2003
3592003
A novel low power energy recovery full adder cell
R Shalem, E John, LK John
Proceedings Ninth Great Lakes Symposium on VLSI, 380-383, 1999
3081999
Using complete machine simulation for software power estimation: The softwatt approach
S Gurumurthi, A Sivasubramaniam, MJ Irwin, N Vijaykrishnan, ...
Proceedings Eighth International Symposium on High Performance Computer …, 2002
2942002
Complete system power estimation using processor performance events
WL Bircher, LK John
IEEE Transactions on Computers 61 (4), 563-577, 2011
2842011
Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite
A Phansalkar, A Joshi, LK John
Proceedings of the 34th annual international symposium on Computer …, 2007
2682007
Complete system power estimation: A trickle-down approach based on performance events
WL Bircher, LK John
2007 ieee international symposium on performance analysis of systems …, 2007
2522007
Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era
D Kaseridis, J Stuecheli, LK John
Proceedings of the 44th Annual IEEE/ACM International Symposium on …, 2011
2082011
Measuring program similarity: Experiments with SPEC CPU benchmark suites
A Phansalkar, A Joshi, L Eeckhout, LK John
IEEE International Symposium on Performance Analysis of Systems and Software …, 2005
2072005
Performance prediction based on inherent program similarity
K Hoste, A Phansalkar, L Eeckhout, A Georges, LK John, K De Bosschere
Proceedings of the 15th international conference on Parallel architectures …, 2006
1892006
Efficient program scheduling for heterogeneous multi-core processors
J Chen, LK John
Proceedings of the 46th Annual Design Automation Conference, 927-930, 2009
1702009
The virtual write queue: Coordinating DRAM and last-level cache policies
J Stuecheli, D Kaseridis, D Daly, HC Hunter, LK John
ACM SIGARCH Computer Architecture News 38 (3), 72-82, 2010
1682010
Measuring benchmark similarity using inherent program characteristics
A Joshi, A Phansalkar, L Eeckhout, LK John
IEEE Transactions on Computers 55 (6), 769-782, 2006
1682006
Control flow modeling in statistical simulation for accurate and efficient processor design studies
L Eeckhout, RH Bell Jr, B Stougie, K De Bosschere, LK John
ACM SIGARCH Computer Architecture News 32 (2), 350, 2004
1582004
Bottlenecks in multimedia processing with SIMD style extensions and architectural enhancements
D Talla, LK John, D Burger
IEEE Transactions on Computers 52 (8), 1015-1031, 2003
1582003
Elastic refresh: Techniques to mitigate refresh penalties in high density memory
J Stuecheli, D Kaseridis, HC Hunter, LK John
2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 375-384, 2010
1522010
Runtime identification of microprocessor energy saving opportunities
WL Bircher, M Valluri, J Law, LK John
Proceedings of the 2005 international symposium on Low power electronics and …, 2005
1482005
Evaluating MMX technology using DSP and multimedia applications
R Bhargava, LK John, BL Evans, R Radhakrishnan
Proceedings. 31st Annual ACM/IEEE International Symposium on …, 1998
1471998
Performance evaluation and benchmarking
LK John, L Eeckhout
CRC Press, 2018
1342018
A rendszer jelenleg nem tudja elvégezni a műveletet. Próbálkozzon újra később.
Cikkek 1–20