Follow
Diana Marculescu
Title
Cited by
Cited by
Year
Analysis of dynamic voltage/frequency scaling in chip-multiprocessors
S Herbert, D Marculescu
Proceedings of the 2007 international symposium on Low power electronics and …, 2007
4982007
Electronic textiles: A platform for pervasive computing
D Marculescu, R Marculescu, NH Zamora, P Stanley-Marbell, PK Khosla, ...
Proceedings of the IEEE 91 (12), 1995-2018, 2003
4372003
Single-path nas: Designing hardware-efficient convnets in less than 4 hours
D Stamoulis, R Ding, D Wang, D Lymberopoulos, B Priyantha, J Liu, ...
Joint European Conference on Machine Learning and Knowledge Discovery in …, 2019
2992019
Power and performance evaluation of globally asynchronous locally synchronous processors
A Iyer, D Marculescu
ACM SIGARCH Computer Architecture News 30 (2), 158-168, 2002
2972002
The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives
M Shafique, S Garg, J Henkel, D Marculescu
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
2322014
Voltage-frequency island partitioning for GALS-based networks-on-chip
UY Ogras, R Marculescu, P Choudhary, D Marculescu
Proceedings of the 44th Annual Design Automation Conference, 110-115, 2007
2072007
MARS-C: modeling and reduction of soft errors in combinational circuits
N Miskov-Zivanov, D Marculescu
Proceedings of the 43rd annual Design Automation Conference, 767-772, 2006
2012006
Towards efficient model compression via learned global ranking
TW Chin, R Ding, C Zhang, D Marculescu
Proceedings of the IEEE/CVF conference on computer vision and pattern …, 2020
1912020
Open-vocabulary semantic segmentation with mask-adapted clip
F Liang, B Wu, X Dai, K Li, Y Zhao, H Zhang, P Zhang, P Vajda, ...
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2023
1872023
Switching activity analysis considering spatiotemporal correlations
R Marculescu, D Marculescu, M Pedram
Proceedings of the 1994 IEEE/ACM international conference on Computer-aided …, 1994
1721994
Design and management of voltage-frequency island partitioned networks-on-chip
UY Ogras, R Marculescu, D Marculescu, EG Jung
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (3), 330-341, 2009
1712009
Variation-aware dynamic voltage/frequency scaling
S Herbert, D Marculescu
2009 IEEE 15th International Symposium on High Performance Computer …, 2009
1712009
Information theoretic measures for power analysis [logic design]
D Marculescu, R Marculescu, M Pedram
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1996
1631996
Neuralpower: Predict and deploy energy-efficient convolutional neural networks
E Cai, DC Juan, D Stamoulis, D Marculescu
Asian Conference on Machine Learning, 622-637, 2017
1562017
Multiple transient faults in combinational and sequential circuits: A systematic approach
N Miskov-Zivanov, D Marculescu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
1502010
Circuit reliability analysis using symbolic techniques
N Miskov-Zivanov, D Marculescu
IEEE transactions on computer-aided design of integrated circuits and …, 2006
1502006
Regularizing activation distribution for training binarized deep networks
R Ding, TW Chin, Z Liu, D Marculescu
Proceedings of the IEEE/CVF conference on computer vision and pattern …, 2019
1432019
Efficient power estimation for highly correlated input streams
R Marculescu, D Marculescu, M Pedram
Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 628-634, 1995
1401995
Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors
B Raghunathan, Y Turakhia, S Garg, D Marculescu
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 39-44, 2013
1382013
Joint logic restructuring and pin reordering against NBTI-induced performance degradation
KC Wu, D Marculescu
2009 Design, Automation & Test in Europe Conference & Exhibition, 75-80, 2009
1242009
The system can't perform the operation now. Try again later.
Articles 1–20