Follow
Bah Hwee Gwee
Title
Cited by
Cited by
Year
A GA paradigm for learning fuzzy rules
MH Lim, S Rahardja, BH Gwee
Fuzzy Sets and Systems 82 (2), 177-186, 1996
1281996
A highly efficient method for extracting FSMs from flattened gate-level netlist
Y Shi, CW Ting, BH Gwee, Y Ren
Proceedings of 2010 IEEE international symposium on circuits and systems …, 2010
902010
A 16-channel low-power nonuniform spaced filter bank core for digital hearing aids
KS Chong, BH Gwee, JS Chang
IEEE Transactions on Circuits and Systems II: Express Briefs 53 (9), 853-857, 2006
902006
An investigation into the parameters affecting total harmonic distortion in low-voltage low-power Class-D amplifiers
MT Tan, JS Chang, HC Chua, BH Gwee
IEEE Transactions on Circuits and Systems I: Fundamental Theory and …, 2003
862003
A micropower low-voltage multiplier with reduced spurious switching
KS Chong, BH Gwee, JS Chang
IEEE transactions on very large scale integration (VLSI) systems 13 (2), 255-265, 2005
792005
A micropower low-distortion digital pulsewidth modulator for a digital class D amplifier
BH Gwee, JS Chang, H Li
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal …, 2002
742002
A micropower low-distortion digital class-D amplifier based on an algorithmic pulsewidth modulator
BH Gwee, JS Chang, V Adrian
IEEE Transactions on Circuits and Systems I: Regular Papers 52 (10), 2007-2022, 2005
732005
Energy-efficient synchronous-logic and asynchronous-logic FFT/IFFT processors
KS Chong, BH Gwee, JS Chang
IEEE journal of solid-state circuits 42 (9), 2034-2045, 2007
632007
Synchronous-logic and asynchronous-logic 8051 microcontroller cores for realizing the internet of things: A comparative study on dynamic voltage scaling and variation effects
KL Chang, JS Chang, BH Gwee, KS Chong
IEEE journal on emerging and selected topics in circuits and systems 3 (1 …, 2013
612013
An Ultra-Low Power Asynchronous-Logic In-Situ Self-Adaptive System for Wireless Sensor Networks
T Lin, KS Chong, JS Chang, BH Gwee
IEEE Journal of Solid-State Circuits 48 (2), 573-586, 2012
552012
Interceptive side channel attack on AES-128 wireless communications for IoT applications
AA Pammu, KS Chong, WG Ho, BH Gwee
2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 650-653, 2016
522016
A novel low-power low-voltage Class D amplifier with feedback for improving THD, power efficiency and gain linearity
JS Chang, BH Gwee, YS Lon, MT Tan
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems …, 2001
492001
Synchronous-logic and globally-asynchronous-locally-synchronous (GALS) acoustic digital signal processors
KS Chong, KL Chang, BH Gwee, JS Chang
IEEE Journal of Solid-state circuits 47 (3), 769-780, 2012
462012
A GA with heuristic-based decoder for IC floorplanning
BH Gwee, MH Lim
Integration 28 (2), 157-172, 1999
461999
Fine-grained power gating for leakage and short-circuit power reduction by using asynchronous-logic
T Lin, KS Chong, BH Gwee, JS Chang
2009 IEEE International Symposium on Circuits and Systems (ISCAS), 3162-3165, 2009
452009
Polyominoes tiling by a genetic algorithm
BH Gwee, MH Lim
Computational Optimization and Applications 6, 273-291, 1996
441996
A high throughput and secure authentication-encryption AES-CCM algorithm on asynchronous multicore processor
AA Pammu, WG Ho, NKZ Lwin, KS Chong, BH Gwee
IEEE Transactions on Information Forensics and Security 14 (4), 1023-1036, 2018
362018
A randomized wrapped-around pulse position modulation scheme for DC–DC converters
V Adrian, JS Chang, BH Gwee
IEEE Transactions on Circuits and Systems I: Regular Papers 57 (9), 2320-2333, 2010
342010
Hybrid-Means Clustering and Support Vector Machine Method for via and Metal Line Detections in Delayered IC Images
D Cheng, Y Shi, T Lin, BH Gwee, KA Toh
IEEE Transactions on Circuits and Systems II: Express Briefs 65 (12), 1849-1853, 2018
332018
A low-voltage micropower digital class-D amplifier modulator for hearing aids
V Adrian, JS Chang, BH Gwee
IEEE Transactions on Circuits and Systems I: Regular Papers 56 (2), 337-349, 2008
322008
The system can't perform the operation now. Try again later.
Articles 1–20