Követés
Giulia Meuli
Giulia Meuli
Senior R&D Engineer, Synopsys Inc
E-mail megerősítve itt: synopsys.com
Cím
Hivatkozott rá
Hivatkozott rá
Év
The EPFL logic synthesis libraries
M Soeken, H Riener, W Haaswijk, E Testa, B Schmitt, G Meuli, F Mozafari, ...
arXiv preprint arXiv:1805.05121, 2018
1042018
SAT-based {CNOT, T} quantum circuit synthesis
G Meuli, M Soeken, G De Micheli
Reversible Computation: 10th International Conference, RC 2018, Leicester …, 2018
332018
Reversible pebbling game for quantum memory management
G Meuli, M Soeken, M Roetteler, N Bjorner, G De Micheli
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 288-291, 2019
302019
The Role of Multiplicative Complexity in Compiling Low -count Oracle Circuits
G Meuli, M Soeken, E Campbell, M Roetteler, G De Micheli
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
262019
Evaluating ESOP optimization methods in quantum compilation flows
G Meuli, B Schmitt, R Ehlers, H Riener, G De Micheli
Reversible Computation: 11th International Conference, RC 2019, Lausanne …, 2019
172019
Boolean satisfiability in quantum compilation
M Soeken, G Meuli, B Schmitt, F Mozafari, H Riener, G De Micheli
Philosophical Transactions of the Royal Society A 378 (2164), 20190161, 2020
132020
Xor-And-Inverter Graphs for Quantum Compilation
G Meuli, M Soeken, G De Micheli
npj Quantum Information 8 (1), 7, 2022
122022
Enabling accuracy-aware Quantum compilers using symbolic resource estimation
G Meuli, M Soeken, M Roetteler, T Häner
Proceedings of the ACM on Programming Languages 4 (OOPSLA), 1-26, 2020
12*2020
A best-fit mapping algorithm to facilitate ESOP-decomposition in Clifford+ T quantum network synthesis
G Meuli, M Soeken, M Roetteler, N Wiebe, G De Micheli
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 664-669, 2018
102018
Enumerating Optimal Quantum Circuits using Spectral Classification
G Meuli, M Soeken, M Roetteler, G De Micheli
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
72020
The EPFL Logic Synthesis Libraries (2019)
M Soeken, H Riener, W Haaswijk, E Testa, B Schmitt, G Meuli, F Mozafari, ...
arXiv preprint arXiv:1805.05121, 0
7
ROS: resource-constrained oracle synthesis for quantum computers
G Meuli, M Soeken, M Roetteler, G De Micheli
QPL 2019, 2019
62019
Majority-based design flow for AQFP superconducting family
G Meuli, V Possani, R Singh, SY Lee, AT Calvino, DS Marakkalage, ...
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 34-39, 2022
52022
From Boolean functions to quantum circuits: A scalable quantum compilation flow in C++
B Schmitt, F Mozafari, G Meuli, H Riener, G De Micheli
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
32021
An RTL-to-GDSII Flow for Single Flux Quantum Circuits Based on an Industrial EDA Toolchain
E Mlinar, S Whiteley, A Belov, S Chen, L Amaru, T Liu, Y Zhang, T Arifin, ...
IEEE Transactions on Applied Superconductivity, 2023
22023
Reversible pebbling game for quantum memory management
M Roetteler, G Meuli
US Patent App. 16/457,408, 2020
1*2020
Automatic accuracy management for quantum programs via symbolic resource estimation
T Haener, G Meuli, M Roetteler
US Patent 11,580,434, 2023
2023
From Boolean functions to quantum circuits: A scalable quantum compilation flow in C++
B Schmitt Antunes, F Mozafari, G Meuli, H Riener, G De Micheli
DATE 2021 Design, Automation and Test in Europe Conference, 2021
2021
Program compilation for large-scale quantum computers
G Meuli
EPFL, 2020
2020
A Compiler for Parallel and Resource-Constrained Programmable in-Memory Computing
G Meuli, M Soeken, PE Gaillardon, G De Micheli
Proceedings of the 26th International Workshop on Logic & Synthesis (IWLS), 2017
2017
A rendszer jelenleg nem tudja elvégezni a műveletet. Próbálkozzon újra később.
Cikkek 1–20